Department of Commerce and Natcast Announce Selection Processes for CHIPS R&D Facilities

Today, the Department of Commerce and Natcast, the operator of the National Semiconductor Technology Center (NSTC), announced the selection processes for the first three research and development (R&D) facilities funded through the CHIPS and Science Act. These facilities include the NSTC Prototyping and National Advanced Packaging Manufacturing Program (NAPMP) Advanced Packaging Piloting Facility, the NSTC Administrative and Design Facility, and the NSTC Extreme Ultraviolet (EUV) Center. Details on the selection process for affiliated technical centers will be released at a later date.

As part of President Biden’s Investing in America agenda, CHIPS for America aims to build a vibrant semiconductor ecosystem in the U.S., bolstering cutting-edge R&D and creating quality jobs. A key component of this agenda is bridging the gap between research and industry, ensuring that CHIPS for America will be an enduring success. These R&D facilities will convene partners across the semiconductor ecosystem, facilitating technological advances in semiconductor design and manufacturing to scale for commercialization. This initiative underscores the U.S.’ commitment to secure and lead in domestic semiconductor manufacturing, packaging, and research for years to come.

“To reclaim America’s semiconductor leadership, we need to invest not just in manufacturing capacity but also in supercharging our research and development ecosystem. The National Semiconductor Technology Center and National Advanced Packaging Manufacturing Program are critical components in making that happen. The facilities funded by CHIPS for America will drive innovation and help recruit and train the next generation of American semiconductor workers,” said Secretary of Commerce Gina Raimondo.

“The launch of the CHIPS R&D facilities is a significant milestone in implementing President Biden’s CHIPS & Science Act. This will help build the R&D infrastructure necessary for America to lead in advanced manufacturing for decades. President Biden is determined that semiconductor manufacturing will thrive in America after years of neglect,” stated Lael Brainard, National Economic Advisor.

“Establishing domestic assets for research and development in both semiconductor and advanced packaging is a unique opportunity for the United States, especially as the lines blur between these areas,” said Laurie E. Locascio, Under Secretary of Commerce for Standards and Technology and Director of the National Institute of Standards and Technology. “Ensuring synchronized development in both areas is key for future advancements in AI and other technologies. These facilities will lower barriers to semiconductor research and innovation, providing state-of-the-art tools and processes to transition more rapidly to manufacturing.”

“This announcement marks a major step forward in the evolution of the NSTC, providing key capabilities for researchers in the United States to accelerate domestic R&D. These facilities will bring together the semiconductor ecosystem to foster a vibrant and sustainable innovation pipeline,” remarked Deirdre Hanford, CEO of Natcast.

When fully operational, these three state-of-the-art facilities will establish world-class hubs for advanced semiconductor R&D in the United States. They will address critical gaps in the current ecosystem, offering unparalleled value to a diverse array of stakeholders across the semiconductor value chain, including universities, small businesses, large manufacturers, and government agencies. The NSTC Administrative and Design Facility is expected to be operational by 2025, the NSTC EUV Center by 2026, and the NSTC Prototyping and NAPMP Advanced Packaging Piloting Facility by 2028.

These facilities will enable innovators to collaborate and solve the most challenging problems in microelectronics. Specifically, they will:

  • Accelerate innovation by enabling world-class R&D across all microelectronics technical areas, including access to EUV lithography for research using the most advanced patterning technology.
  • Create unique value for the semiconductor ecosystem beyond existing comparable facilities.
  • Achieve financial sustainability by creating enduring value for decades and attracting investment from a wide range of companies.
  • Maintain independence and neutrality, allowing Natcast and NAPMP to make strategic operational decisions and ensuring all member entities and their employees can innovate successfully.
  • Foster thriving ecosystems that provide and grow a talented workforce, along with a robust network of semiconductor companies, educational and research institutions, and local support to advance the mission.

Leave a Reply

Your email address will not be published. Required fields are marked *